CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦信号 频率

搜索资源列表

  1. Sin

    0下载:
  2. MyExpSin产生一个幅值为 5,频率为20Hz,衰减系数为-10 的指数衰减正弦信号;-MyExpSin produce a amplitude for 5, frequency of 20 Hz, attenuation coefficient for-10 index attenuation sine signals
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:555
    • 提供者:江山
  1. Signal-Analysis

    0下载:
  2. 基于020的简易信号分析仪,能够实现40khz以下的方波、三角波、正弦波频率、幅值检测及频谱分析。-Signal-Analysis system based on 020. Measuring magnitude, frequency of square,triangle,and sin signal under 40khz,including frequency spectrum analysis.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:65872
    • 提供者:functiong
  1. STATE_9852

    0下载:
  2. FPGA控制DDS芯片AD9852,产生幅值和频率可调的正弦信号-FPGA control AD9852 state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1244
    • 提供者:李小科
  1. UC

    0下载:
  2. 一波形发生器,可以产生正弦波、方波、三角波,频率和幅值可调;按键选择输出波形;按键调节输出信号频率和电压幅值。其扩展功能:频率调节步进值为1Hz;电压幅值调节步进值为0.5V。-A waveform generator can generate a sine wave, square wave, triangle wave, frequency and amplitude can be adjusted Key choice output waveform Adjust output sig
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:34266
    • 提供者:浅微
  1. eda

    0下载:
  2. EDA 正弦信号发生器:正弦信号发生器的结构有四部分组成,如图1所示。20MHZ经锁相环PLL20输出一路倍频的32MHZ片内时钟,16位计数器或分频器CNT6,6位计数器或地址发生器CN6,正弦波数据存储器data_rom。另外还需D/A0832(图中未画出)将数字信号转化为模拟信号。此设计中利用锁相环PLL20输入频率为20MHZ的时钟,输出一路分频的频率为32MHZ的片内时钟,与直接来自外部的时钟相比,这种片内时钟可以减少时钟延时和时钟变形,以减少片外干扰 还可以改善时钟的建立时间和保持时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:33974
    • 提供者:王丽丽
  1. sinusoidal-signal-generator

    0下载:
  2. 正弦信号发生器。简述了一种可调剂频率的正弦信号发生器的方法-The design of the sinusoidal signal generator
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:213284
    • 提供者:kangxinlong
  1. ICL8038

    0下载:
  2. 基于AT89S52单片机的设计,通过8038产生方波,正弦波,三角波,实现信号频率可调-Signal generator
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:2328
    • 提供者:chenrongjie
  1. example_0

    0下载:
  2. 首先信号建模,两种频率的正弦信号叠加,加白噪声和脉冲信号;对叠加信号滤波并提取特征-First, signal modeling, the two frequencies of the sinusoidal signal superimposed with white noise and the pulse signal superimposed signal filtering and extract features
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:1107
    • 提供者:houzhoubo
  1. dds_wave

    0下载:
  2. 基于数字频率合成技术DDS,在quartusii编写相关代码,实现正弦信号输出,同时可以实现调幅、调频和数码管闲事-Based on the digital frequency synthesis technology of DDS, written in QuartusII code, achieve sinusoidal signal output, and can achieve amplitude modulation, frequency modulation and digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9920058
    • 提供者:sunyanjuan
  1. music_single_sin

    0下载:
  2. 白噪声中单个正弦信号的频率检测与估计 产生,其中是一高斯白噪声,其均值为0,方差为1。用MUSIC方法估计观测数据中正弦波的频率,并给出白噪声方差()un2uσ 与复正弦波的振幅A的估计值。-A single frequency detection and estimation of the sinusoidal signal in white noise, which is a Gaussian white noise with mean 0 and variance 1. MUSIC m
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:643
    • 提供者:zee
  1. sina

    0下载:
  2. 使用DSP产生300—4000HZ的正弦信号,要求使用计算法,并且频率可变、幅度可变、直流分量可变。用软件CCS5500编程实现,并硬件(DSK板或示波器)连接进行功能演示。 使用DSP产生300—4000HZ的方波和三角波。 -Using DSP to produce 300-4000HZ of, sinusoidal signal, require the use of calculation method, and a variable frequency, amplitude v
  3. 所属分类:DSP program

    • 发布日期:2017-05-02
    • 文件大小:533173
    • 提供者:
  1. sin

    0下载:
  2. 利用fpga实现低频率(100khz以下)的正弦信号发生,另外可以改频率。非常方便-The use of the fpga achieve low frequency (100khz below) sinusoidal signal, the other can change the frequency. Very convenient
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3587401
    • 提供者:阮志强
  1. 51-sin_signal

    0下载:
  2. 使用51单片机实现正弦波信号频率可控输出以及回测,12864液晶显示。-Sine wave signal frequency controlled output, and back-tested 51 single-chip, the 12864 LCD.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:56358
    • 提供者:陈垚木
  1. 51_sin_signal_paper

    0下载:
  2. 基于51单片机实现正弦波信号频率可调输出课设报告-Based on 51 single-chip class-based report of the sine wave signal frequency adjustable output
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:945483
    • 提供者:陈垚木
  1. LC

    0下载:
  2. 本系统是以FPGA(EP2C8Q240C8)为控制核心,由压控振荡器、PLL倍频器、高频功率放大器、遥控器及LCD显示模块组成的压控LC振荡器。能实现输出正弦波频率在15MHZ~35MHZ步进可调,其最小步进为5002HZ,频率稳定度为10-5。当输出信号的频率为30MHZ、峰峰值稳定在1V左右时,在+12V单电源工作的情况下,功率放大器能实现在50Ω纯阻性和50Ω+20pf容性负载上输出功率大于20mw。LCD显示模块能实时显示输出信号的峰峰值和频率,精度由于10 。-This system
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:293873
    • 提供者:tian
  1. EVsine

    0下载:
  2. 利用事件管理器输出多种频率的正弦信号输出例程-Event management output multiple frequency sinusoidal signal output routines
  3. 所属分类:File Formats

    • 发布日期:2017-04-16
    • 文件大小:10315
    • 提供者:邱静
  1. Signal-frequency-estimation

    1下载:
  2. 对于噪声中的正弦信号,通过周期图法、AR模型法、Pisarenko谐波分解算法、MUSIC算法和ESPRIT算法进行频率估计,使用N=128个数据样本进行估计. -By the periodogram method, AR model method, the Pisarenko harmonic decomposition algorithm, MUSIC algorithm and the ESPRIT algorithm for sinusoidal signals in noise,
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:2837
    • 提供者:沈年凤
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. Sine-signal-superposition

    0下载:
  2. 分别画两个频率不同的正弦信号及他们叠加的信号,y1、y2及他们的叠加信号进行采样,进行傅里叶变换,幅频图,频谱图-Videos two sinusoidal signals of different frequencies, and their superimposed signal, Y1, Y2 and their superimposed signal to be sampled, the Fourier transform, amplitude and frequency diagram
  3. 所属分类:Special Effects

    • 发布日期:2017-11-12
    • 文件大小:777
    • 提供者:陈雪
  1. Sine-signal-frequency-measurement

    0下载:
  2. 用labview实现正弦信号发生及其频率和相位测量 -Sine signal frequency and phase measurement using labview
  3. 所属分类:LabView

    • 发布日期:2017-11-17
    • 文件大小:332681
    • 提供者:万阳
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 27 »
搜珍网 www.dssz.com